`
yexin218
  • 浏览: 970817 次
  • 性别: Icon_minigender_1
  • 来自: 珠海
社区版块
存档分类
最新评论

Visual Studio 2008配置SystemC开发环境

阅读更多

一、编译System库

1.下载SystemC library source code
       到http://www.systemc.org 注册会员账号后,即可下载SystemC library soure code

2. 以SystemC 2.2.0为例,下载后的文件名喂systemc-2.2.0.tgz,解压到C盘目录下:C:\systemc-2.2.0

3. 打开C:\systemc-2.2.0\msvc71\SystemC目录下的SystemC.sln

4.VS一般都是Debug模式,所以直接"生成(Build英文)"-->“生成解决方案(Build Solution)”,如果编译成功的话(忽略那些Warning)。在C:\systemc-2.2.0\msvc71\SystemC\debug目录下就生成了SystemC.lib

 

二:更新SystemC include file 和 library

 

1. Select Tools(工具) -> Options(选项) . . . and the Projects(项目和解决方案) -> VC++ Directories tab(Vc++目录)
   
2. Select show directories for: Library files(库文件)

3. Select the 'New' icon and browse to: C:\systemc-2.2.0\msvc71\SystemC\Debug

4. Select show directories for: Include files(包含文件)

5. Select the 'New' icon and browse to: C:\systemc-2.2.0\src

 

步骤三:创建SystemC应用程序

1. Start Visual Studio. From the Start Page select New Project and Win32 Console Project (Windows 控制台应用程序). Type the project name and select a suitable location then click OK.
2. Select the Application Settings page of the Win32 Application Wizard and make sure the 'Empty project' box is ticked(把空项目勾起来). Click 'Finish' to complete the wizard.

3. Add new/existing C++ files to the project and edit code.【一定要添加某个C++文件否则下一步就找不到C/c++的选项了】
4. Display the project Property Pages by selecting 'Properties...' from the Project menu.

5. C/C++ -> General properties Warning level= Level 1(/W1)
6. C/C++ -> Code Generation Runtime Library =Multi-thread Debug (/MTd)
7. C/C++ -> Command Line properties Additional Options = /vmg /D_CRT_SECURE_NO_DEPRECATE
8. Linker -> Input properties Additional Dependiences = systemc.lib
9. Click

结束

 

Reference: http://hi.baidu.com/koolca/blog/item/ac9295828b994092f703a644.html

 

附上一个测试文件: 一个加法器:

adder.h

#ifndef _ADDER_H
#define _ADDER_H

SC_MODULE(Adder){
 public:
	 sc_in<int> data_in_1;
     sc_in<int> data_in_2;
	 sc_out<int> data_out;
SC_CTOR(Adder){
      SC_METHOD(adder_action);
	  sensitive << data_in_1 <<data_in_2;
	 }

	 void adder_action(){
         data_out = data_in_1 + data_in_2;
	 }
};

#endif

 adder.cpp

#include <systemc.h>
#include "adder.h"

SC_MODULE(Stimulator) {
 public:
	sc_out<int> data_out_1, data_out_2;

	SC_CTOR(Stimulator){
		SC_THREAD(send_data);
		dont_initialize();
	};

 private:
	void send_data() {
		int i = 3;
		while(true){
			wait(i, SC_NS);
			cout << "Time: " <<	sc_time_stamp() << "::";
			cout << "Send data: " << 4*i << ", " << 5*i-2 << endl;
			data_out_1 = 4*i;
			data_out_2 = 5*i-2;
			i++;
			if(i >= 14) {
				wait(1,SC_NS);
				sc_stop();
			}
		}
	};
};

SC_MODULE(Monitor) {
public:
	sc_in<int> data_in;

	SC_CTOR(Monitor){
		SC_METHOD(display);
		dont_initialize();
		sensitive << data_in;
	};

private:
	void display(){
		cout << "Time: " <<	sc_time_stamp() << "::";
		cout << "Receive data: " << data_in.read() << endl;
	};	
};

int sc_main(int argc, char* argv[]) {
	Stimulator *stim;
	Monitor *mon;
	Adder *adder;

	stim = new Stimulator("Stimulator");
	mon = new Monitor("Monitor");
	adder = new Adder("Adder");

	sc_signal<int> data_in_1, data_in_2, data_out;

	stim->data_out_1(data_in_1);
	stim->data_out_2(data_in_2);
	mon->data_in(data_out);
	adder->data_in_1(data_in_1);
	adder->data_in_2(data_in_2);
	adder->data_out(data_out);

	sc_start();

	return 0;
}

 你能跑出什么结果呢?

2
1
分享到:
评论

相关推荐

    Visual Studio 2008配置SystemC开发环境.doc

    在本文中,我们将详细介绍如何在Visual Studio 2008中配置SystemC开发环境,以便进行高效的SystemC编程。SystemC是一种系统级的建模语言,广泛用于硬件设计的抽象和验证,它允许开发者模拟复杂的硬件行为。 首先,...

    systemc VC2010安装

    以本文件所提供的信息为基准,以下是SystemC在Microsoft Visual Studio 2010环境中安装和配置的知识点: 1. 安装Visual Studio 2010 - 需要在系统上安装Microsoft Visual Studio 2010,这是编译和运行SystemC程序...

    systemc configure in vs2010

    在Visual Studio 2010环境下配置SystemC库是一个涉及多个步骤的过程,包括下载、安装、配置编译选项以及设置项目属性等。SystemC是一种基于C++的建模语言,广泛用于系统级建模、硬件/软件协同仿真等领域。以下是对...

    systemc-2.3.1

    Visual Studio是一款流行的IDE(集成开发环境),支持多种编程语言,其强大的调试工具和项目管理能力对于大规模的系统级设计尤其有用。 在SystemC的开发过程中,源代码编译成库后,用户可以将其链接到自己的SystemC...

    VS8中SystemC所需配置

    在Visual Studio 2008 (简称VS8)中集成SystemC进行仿真是一项重要的技能,尤其是对于刚接触SystemC的新手而言。SystemC是一种用于硬件设计和验证的高级建模语言,它基于C++标准,并通过特定的扩展来支持硬件描述和...

    systemc_2.30 库(源码)+ 使用说明( VS2010 )

    在 VS2010 中开发 SystemC 项目,你需要了解如何配置 Visual Studio 的项目属性,包括包含目录、库目录和附加依赖项。同时,理解 C++ 编译器和链接器的选项设置也很关键,这有助于确保 SystemC 的正确编译和链接。 ...

    SystemC 设计 MIPS CPU 文档

    SystemC的优势在于能够提供一个统一的开发环境,使得硬件和软件可以在同一个抽象级别上进行设计。为了实现本次实验的目标,首先需要对SystemC进行必要的配置: - **步骤一:编译SystemC库** - 需要确保安装了最新的...

    SystemC安装包

    3. 开发环境:你可以选择像Eclipse、Code::Blocks或Visual Studio这样的集成开发环境(IDE)来辅助开发。 安装步骤如下: 1. **下载安装包**:首先,你需要从OSCI的官方网站或其他可靠的源获取SystemC的安装包,如本...

    vc环境配置

    Visual C++ 2005(也称为Visual Studio 2005或VS2005)是微软在2005年发布的一款集成开发环境,而Visual C++ 2008(Visual Studio 2008)则是在2007年推出的更新版本。这两个版本都提供了C++编程语言的支持,包括...

    system-C2.2-VC7-lib

    这意味着如果你有一个使用SystemC的项目,并且你的开发环境是Visual Studio 2005,这个库文件将是你构建和运行SystemC模型所必需的。 "SystemC.lib" 是这个压缩包内的唯一文件,它是静态链接库文件。在C++编程中,...

    新建文件夹_systemgtkwave_dreamx2t_

    描述中提到的“gtkwave在systemC使用vs17”,这表示开发环境是Visual Studio 2017(VS17),并且在这个环境中进行SystemC的编程和仿真工作,并且使用GTKWave来查看和分析仿真所产生的波形。SystemC是一种基于C++的...

    dafuq is this shit_test_

    2. **Windows环境下的工具链**:如何在Windows操作系统中设置和使用适合混合系统描述语言的编译器、模拟器和调试器,例如Visual Studio、ModelSim等。 3. **嵌入式系统开发**:涉及的硬件平台选择、操作系统移植、...

Global site tag (gtag.js) - Google Analytics