--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 22:02:14 09/19/06
-- Design Name:
-- Module Name: memory - Behavioral
-- Project Name:
-- Target Device:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity memory is
Port ( cs : in std_logic;
wr : in std_logic;
rd : in std_logic;
data : inout std_logic_vector(3 downto 0);
membuf : buffer std_logic_vector(3 downto 0));
end memory;
architecture Behavioral of memory is
begin
process(wr,cs)
begin
if cs='1' and wr='1' then
membuf <= data;
elsif cs ='1' and rd = '1' then
data <= membuf;
end if;
end process;
end Behavioral;
今天实际用到的代码(测试通过)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity memory is
Port ( cs : in std_logic ;
wr : in std_logic;
rd : in std_logic;
data : inout std_logic_vector(3 downto 0);
membuf : buffer std_logic_vector(3 downto 0):="0000"
);
end memory;
architecture Behavioral of memory is
begin
process(cs,wr,rd)
begin
if cs ='1' and wr = '1' then
membuf <= data;
elsif cs ='1' and rd = '1' then
data <= membuf;
else
data <= "ZZZZ";
end if;
end process ;
end Behavioral;
体会是高阻那里竟然是要在波形图里设置的!
分享到:
相关推荐
《西北工业大学 计组实验》是一系列针对计算机组成原理(计组)的实验,旨在帮助大学生深入理解CPU的工作原理和设计。在这个实验中,学生将接触到三个关键的实验主题:单周期CPU、流水线CPU以及相关的实验报告撰写。...
【标题】"北航计组实验代码(三)p4--Verilog单周期"涉及到的是一个计算机组成原理的实验项目,主要目标是实现基于Verilog的单周期处理器。在这个实验中,学生需要设计并实现一个能够支持36种指令的处理器核心。这个...
多功能ALU设计实验报告 本实验报告介绍了杭州电子科技大学计算机学院计算机组成原理与系统结构课程设计的实验报告,实验名称为多功能ALU设计实验。实验的目的是学习多功能ALU的工作原理,掌握运算器的设计方法,...
【标题】"北航计组实验代码和电路(二)Logisim单周期"涉及到的是计算机组成原理中的一个重要实验,该实验使用Logisim软件设计一个单周期处理器。Logisim是一款广泛应用于教学的数字逻辑设计工具,它允许用户通过...
总的来说,“计算机组成 头歌 存储系统设计实验代码”这个主题涵盖了计算机存储系统的基础理论、设计原则和实践经验,对于学习计算机组成原理的学者来说,是一个极好的实践平台,能够帮助他们将理论知识转化为实际...
总的来说,"计组头歌实验MIPS CPU设计1-5关源码"是一个深入理解计算机体系结构,提升实践能力的好机会。通过这个实验,我们可以从宏观和微观两个层面理解CPU的工作机制,为后续的系统级设计和软件开发打下坚实的基础...
\n\n**寄存器堆设计**\n\n在实验中,设计了一个32×32位的寄存器堆,包含32个32位寄存器。这个寄存器堆有两个读端口(A和B),由5位地址R_Addr_A和R_Addr_B寻址,读出的数据通过R_Data_A和R_Data_B输出。同时,它有...
【标题】:“山东大学大二下计组实验” 在计算机科学领域,计算机组成原理(简称“计组”)是一门非常重要的课程,它涉及到计算机硬件系统的基础知识,包括数据存储、运算逻辑、指令系统和处理器设计等核心概念。本...
本实验报告集合是针对杭电(杭州电子科技大学)的"计组"(计算机组成原理)课程的实践环节,涵盖了五个关键主题,分别是超前进位、ALU(算术逻辑单元)设计、R型CPU、RI型CPU以及RIJ型CPU的设计。这些实验旨在帮助...
《广工计组实验代码及报告》的压缩包文件提供了计算机组成原理实验的相关资源,主要包含mux21a、ALU(算术逻辑单元)以及一位全加器的设计与实现。这些是计算机系统结构基础课程中的重要组成部分,下面将详细阐述...
【北航计组实验代码、电路(一)】是一个针对计算机组织与结构(计组)课程的实验资源包,包含了Logisim、MIPS和Verilog等关键工具和语言的相关代码与电路设计。这个资源包对于学习计算机硬件基础、理解指令系统以及...
在本实验中,学生将设计并实现一个基于R-I型指令的CPU。R-I型指令是一种常见的计算机指令格式,它包含寄存器操作和立即数操作。这种类型的指令通常用于执行算术和逻辑运算,例如加法、减法或位操作,并且允许直接...
本实验"计组头歌实验:单总线CPU设计(定长指令周期3级时序)(HUST)1-6关"是华中科技大学(HUST)为学生提供的一种教学资源,旨在帮助他们深入理解CPU的工作机制。实验分为六个阶段,逐步引导学生完成一个简单的单总线...
西北工业大学 计院 计组实验 内涵流水线CPU所有模块代码,直接提交希冀平台可通过测试。同时还有一份报告,里面详细讲述了设计思路,可供参考。所有代码均是本人手写,可以不用考虑查重,但是挂在网上,有可能你买了...
在这个实验中,我们将关注于微程序控制的运算器设计,这是计算机核心组件之一。微程序控制是一种实现计算机控制逻辑的方法,通过在控制存储器中预存一系列的微指令来执行复杂操作。 实验四“微程序控制的运算器设计...
有运算器设计的1-11关:复制代码,放进头歌,满分过 本实验使用 Verilog HDL 实现了单周期 54 条 MIPS 指令的 CPU 的设计、前仿真、后仿真和下板调试运行。CPU 可实现 54 条 MIPS 指令。 第1关:8位可控加减法电路...
【描述】"广工2017计组实验报告"可能包括一系列实验任务,每个任务都围绕着计算机组成原理的关键理论进行,如CPU设计、指令集体系结构、内存系统、输入输出设备的接口以及总线系统等。学生们在完成这些实验后,会...
内涵单周期CPU所有代码,各个模块...同时内涵一份实验报告,仅供参考。所有代码均为本人手写,不用担心查重。但是你买了别人可能也买了,所以还是自己改改。这个查重率高会直接没分。(单周期CPU简单,价格相对也较低)
【杭电计组实验报告(含代码).rar】是一个包含计算机组成原理实验相关资料的压缩文件,由用户@XDP_CS提供,并且已经添加了板级代码。这个压缩包很可能是杭州电子科技大学(简称杭电)计算机科学与技术专业学生进行...
【描述】"杭电计组R_I_CPU设计实验.zip"是一个包含实验相关资料的压缩文件,可能包含了源代码、配置文件以及项目说明文档等资源。通过这个实验,学生将学习如何构建一个简单的CPU,这通常包括设计指令集、实现指令...