`
knightyzj
  • 浏览: 19675 次
  • 性别: Icon_minigender_1
  • 来自: 重庆
最近访客 更多访客>>
文章分类
社区版块
存档分类
最新评论

PLI的宏

 
阅读更多

PLI的宏是就是PLI预处理功能,包括预处理过程(包括函数),预处理内置函数,预处理语句。

可以用 %DECLARE声明一个将要处理的预编译语句块。如果没有这个语句那么它将在遇到 %ACTIVATE生效。可以用 %DEACTIVATE关闭生效。

预处理过程在 %XXXXXX:PROCEDURE 和 %END 中间。中间可以有RETURN或者ANSWER语句。

分享到:
评论

相关推荐

    PLI Messages and Codes.

    - **Chapter 3至8**:分别涵盖了信息性消息、警告消息、错误消息、严重错误消息、宏和CICS预处理器消息以及代码生成消息。 综上所述,PLI的错误代码、消息和编译运行时的信息是编程中不可或缺的一部分,理解它们能...

    PLI Programming Guide(OS390).

    - **MACRO**:定义宏。 - **MAP**:生成符号映射文件。 - **MARGINI/S**:设置页边距。 - **MAXMEM**:指定最大内存使用。 - **MDECK**:控制多甲板操作。 - **NAMES**:设置名称规则。 - **NEST**:控制嵌套...

    modelsim技巧.doc

    《Modelsim仿真脚本制作与PLI调用详解》 Modelsim是一款广泛应用于FPGA开发和IC设计的仿真工具,其强大的TCL脚本功能极大地提高了设计者的效率。本文将深入探讨Modelsim的TCL脚本命令以及如何利用PLI(Programmable...

    VCS常用命令说明.pdf

    * -P pli.tab:编译用户定义的 PLI 定义表文件。 * -PP:编译 VCD 文件以便进行交互式调试,同时减少网表数据以加速后期处理。 * +v2k:启用新语言特性,具体见 IEEE 1364-2001 标准。 * -v filename:指定 Verilog ...

    vcs和verdi联合仿真(初学者可以用来熟悉脚本写法).pdf

    在编写Testbench时,需要加入能够触发波形记录的语句,并定义相应的宏。这些操作对于初学者来说可能较为复杂,但通过逐步学习和实践,可以掌握这些工具的使用方法,并进行高效的数字电路仿真工作。

    `celldefine 和 `endcelldefine

    这些指令通常在自定义逻辑宏或者自定义IP核的开发过程中使用,特别是在使用基于寄存器传输级(RTL)的系统级设计时。 `celldefine` 指令的用途是开启一个新的单元模块定义。当编写一个要在多个设计中重复使用的模块时...

    可编程逻辑器件编程技术:Verilog HDL基础1:语法.pdf

    Verilog HDL是一种硬件描述语言(HDL)...标准化的Verilog提高了设计好的软核的可重用性,而编程接口(PLI)是Verilog语言的一个重要特性,它允许设计者将自定义的功能集成到仿真环境中,极大地扩展了语言的应用范围。

    Verilog_硬件描述语言参考手册

    2. **含参数的宏定义**:IEEE标准不支持含参数的宏定义。 3. **‘undef’指令**:IEEE标准可能不支持‘undef’指令。 4. **数字表示的强度值**:在IEEE标准中,不支持使用数字表示的强度值。 5. **系统任务、系统...

    ModelSim_6_2_Quickguide.pdf

    - **startup.do**:设计加载后默认执行的宏的文件名;在`modelsim.ini`文件中通过“startup=”行指定。 - **transcript**:默认保存转录窗口活动的文件名。 - **vsim.wlf**:默认的仿真日志文件名,由VSIM保存。 ##...

Global site tag (gtag.js) - Google Analytics