`
pleasetojava
  • 浏览: 744988 次
  • 性别: Icon_minigender_2
  • 来自: 上海
文章分类
社区版块
存档分类
最新评论

ACE_Read_Buffer 简介

阅读更多

本文发致Stone Jiang的博客以及www.ace-tao.org/bbs。 2008-10-30

转贴请注明。

这是ACE C++包装层中的一个不易被查觉的实用类。使用它可以高效的从文件(stream)中读取大块数据(large buffer)。ACE_Read_Buffer 利用ILP(Integrated Layer Processing)原则对单个字符进行查找/替换操作。

在实现上,ACE_Read_Buffer利用单体的动态内存分配器,确保数据仅作一次复制,从而保证高的执行效率。

分享到:
评论

相关推荐

    基于ACE的环形buffer

    ACE库提供了一系列的同步原语,如ACE_Mutex、ACE_Read_Write_Lock等,用于保护环形缓冲区的读写操作。在这个实现中,可能使用了这些同步机制来确保在并发读写时不会发生数据冲突。 4. **环形buffer设计**:环形...

    ACE开发指南(初级).

    ##### 2.1 ACE简介 ACE (Adaptive Communication Environment) 是一个跨平台的C++框架,用于快速开发高性能、实时的应用程序和服务。它提供了丰富的组件库,包括但不限于网络通信、线程池管理、信号处理等功能。 ##...

    ACE 文件流读取

    while ((bytes_read = file.read(buffer, sizeof(buffer))) > 0) { // 读取文件 // 处理读取的数据 for (int i = 0; i < bytes_read; ++i) std::cout << buffer[i]; } if (bytes_read == -1) { // 检查错误 ...

    C6713DSK中CPLD的VHDL程序

    -- DSP DC Read strobe DSP_DC_OE : in std_logic; -- DSP DC Output enable -- User/Board Support USER_SW : in std_logic_vector(3 downto 0); -- User switches USER_LED : out std_logic_vector(3 downto ...

    myphoto.zip

    while ((read = in.read(buffer)) != -1) { out.write(buffer, 0, read); } } // 使用私有文件进行后续操作 } catch (IOException e) { // 处理错误 } } } ``` 5. 图片裁剪: 如果需要让用户裁剪图片,...

    Delphi 创建高权限进程

    If not OpenProcessToken(hProcess,READ_CONTROL or WRITE_DAC,hToken) Then begin bError := TRUE; Goto Cleanup; end; // 设置 ACE 具有所有访问权限 ZeroMemory(@ea, Sizeof(EXPLICIT_ACCESS)); ...

    带进度条的上传例子

    = (readBytes = is.read(buffer))) { fos.write(buffer, 0, readBytes); } is.close(); fos.close(); } } } catch (FileUploadException e) { e.printStackTrace(); } } ``` 这段代码展示了如何在servlet...

Global site tag (gtag.js) - Google Analytics